IRC logs for #openrisc Wednesday, 2016-10-19

--- Log opened Wed Oct 19 00:00:03 2016
olofkZipCPU: Do you have the necessary RTL changes to mor1kx-generic as well?01:43
olofkFor bringing out the uart?01:43
SMDhomeolofk: any objections on adding vcd support for verilated fusesoc?02:17
_franck__SMDhome: I think it is already there02:32
SMDhome_franck_: I don't see anything inside tb.cpp and --vcd option for verilated simulation is not recognized.02:34
_franck__position of --vcd in the command line might be important here I think02:34
_franck__I'm almost sure --vcd works with verilator02:34
SMDhome_franck__: maybe you're right, tried --vcd --elf-load and that seems to work02:36
_franck__great02:36
SMDhome_franck__: thanks02:37
olofkSMDhome: The code for VCD is in the verilator_tb_utils core03:56
olofkHaven't got a clue why the parameter order would be important03:56
promachbesides mor1kx IP core specification document, any other documents that explains about mor1kx in more details ?09:26
SMDwrkpromach: I guess besides mor1kx arch specification there is some documentation you could build inside mor1kx source(https://github.com/openrisc/mor1kx/tree/master/doc) and sources themselves09:29
promachSMDwrk: I already done    make html09:35
promachthat html is actually the IP core spec09:36
promacham I missing something ?09:36
karussellbremser\notice olofk hello, it's me again :D11:53
karussellbremserok wrong syntax, whatever11:53
karussellbremserin the meantime i used oproscv2 and used linux directly as the bootloader, like in this tutorial: http://openrisclinux.blogspot.de/11:54
karussellbremserthe linux ran in the simulator, but when programming the board's spi flash, i got the message "Configuration data download to FPGA was not successful. DONE did not go high"11:55
karussellbremserso something went wrong, and i have no idea how to debug this11:55
karussellbremseri'm still trying to run linux on the atlys board on some version of the or1200 processor11:56
karussellbremserif there's anyone who can provide a brief tutorial i'd be very happy :D11:57
ZipCPUkarusselbremser: Ahm ... what did you load into the flash?  Linux?  or an OpenRISC configuration?  Or both?12:00
ZipCPUThe DONE not going high suggests that the configuration (Xilinx/Verilog stuff) within the flash was corrupted.12:01
karussellbremserorpsocv2 with linux as bootloader12:01
karussellbremsermake orpsoc.mcs BOOTLOADER_BIN=vmlinux.bin12:01
karussellbremserthe orpsoc should be configured for the atlys12:01
karussellbremserthe linux had no atlys config so i used the default12:02
karussellbremseragain, this is straight from the tutorial i linked12:03
karussellbremserat least the make command12:04
ZipCPUOkay, then I'm wondering if the two parts to your flash image (board configuration and Linux) are somehow on top of each other.12:07
karussellbremserthey should be bundled within the mcs file12:08
karussellbremserto my understanding at least12:08
ZipCPUThey should be.12:08
karussellbremserwhat confused me was that the mcs file is 33.8 mb12:09
karussellbremserthe tutorial mentions around 15mb12:09
karussellbremserprobably because i used a different linux version12:09
karussellbremsera newer one12:09
ZipCPUOuch.  And ... how big is your configuration?  or your program?  Will they both fit in your flash?12:10
karussellbremserwhat do you mean?12:10
karussellbremseri only loaded the mcs file12:11
karussellbremsera cfi file was also demanded but it's just a few bytes12:11
karussellbremserthe mcs should contain all information for the linux to boot, shouldn't it?12:11
ZipCPUI'm going to hold off for someone with some more Linux background to join the discussion.  ;)12:12
karussellbremsernp :) again, i'm only using this outdated workflow because i couldn't find instructions for more recent ones. all i want is to run the linux on the or1200 processor so i know the processor is working properly on my board12:14
karussellbremserif i'm somehow doing that in a too complicated way, i'm open for suggestions12:17
karussellbremserone more thing that just comes to my mind is that when building linux, only the vmlinux file, but not the vmlinux.bin file was produced. i got it with or1k-elf-objcopy -O binary vmlinux vmlinux.bin. could that be a problem as well?12:41
ZipCPUYes.13:03
ZipCPUThat is, if you then used the '.bin' file in place of a Xilinx configuration file, it would explain everything.13:03
mor1kx[mor1kx] skristiansson pushed 1 new commit to master: https://github.com/openrisc/mor1kx/commit/8fd84b4bf11d69b2edfecdd96bedc71e95b5ff6015:21
mor1kxmor1kx/master 8fd84b4 Alex: Introducing PCU15:21
olofkkarussellbremser: It sounds like you're on the right track. Even though it was long since I used orpsocv2, I guess that makefile should combine a FPGA image and linux16:20
olofkAnd for that you would likely need the .bin version you created16:20
olofkBut given the error message, it sounds like the FPGA image is broken somehow16:21
olofkI think that bandvig (who pops in here from time to time) is booting from Flash on his Atlys board16:22
olofkDo you have an external JTAG debugger? That could make things easier, as you could load software through that before you have something in Flash16:22
olofkWhere did you get your copy of orpsocv2 btw?16:25
olofkAnd do you get any warnings or errors from promgen when you generate the mcs file?16:30
olofkCan you program the FPGA successfully if you leave out BOOTLOADER_BIN ?16:30
promachbesides mor1kx IP core specification document, any other documents that explains about mor1kx in more details ?20:35
ZipCPU|Laptoppromach: What are you looking for?20:52
promachI am now checking through the verilog files.20:59
promachdoes openRISC have a dicumentation regarding the verilog files ?20:59
promachor I need to simulate and understand myself using computer architecture knowledge ?21:00
ZipCPU|Laptoppromach: What documentation are you looking for?  What do you want to know about?21:04
promachI looked at the ip core spec, seems very little info.21:06
promachdo we have a block diagram ?21:06
promachor an architecture diagram that I could refer to regarding mor1kx21:06
promachthis could speed up understanding21:06
ZipCPU|LaptopDid you find the mor1kx.asciidoc file in the doc directory?21:14
promachthat is the ip core spec21:22
ZipCPU|LaptopOk, got it.21:38
promachwhich toolchain I should get ? should not be musl-cross, right ?22:59
ZipCPU|LaptopMight depend: are you planning on runnung Linux?  The toolchain I have is specifically for bare metal.23:02
promaches, linux23:26
promachyes*23:26
--- Log closed Thu Oct 20 00:00:05 2016

Generated by irclog2html.py 2.15.2 by Marius Gedminas - find it at mg.pov.lt!