IRC logs for #openrisc Thursday, 2014-05-22

--- Log opened Thu May 22 00:00:59 2014
-!- knz_ is now known as knz04:12
stekernolofk: it's funny, quartus doesn't like /path/to/dir type of paths in the SEARCH_PATH global_assignment, but it's fine with them in the VERILOG_FILE assignments06:33
stekernfor the SEARCH_PATH, it has to be c:\\cygwin64\\path\to\\dir06:34
stekernthis small hack fixes it: http://pastie.org/919811206:39
blueCmdstekern: hah08:41
stekernblueCmd: to what of all my hilarious statements are you laughing at? ;)09:05
* olofk turns around, looks deep into rah's eyes and says: "Honey, now is not a good time"09:31
olofkstekern: I found something else that was interesting regarding SEARCH_PATH09:32
olofkIn the original sockkit material there was no SEARCH_PATH specified at all, but as I did the workshop on my linux machine I had to hack the project file a bit, and my Linux copy contains SEARCH_PATH lines09:32
olofkSo it seems that Windows might implicitly pick up SEARCH_PATH from the verilog file dirs or something like that09:33
stekernoho... but in my material there is SEARCH_PATH lines in the .qsf?09:33
olofkAh there is..? Weird09:34
olofkI opened the unmodified zip file and couldn't find it in the qsf09:34
olofkor qfp or what the fuck it's called09:34
olofkAnyway, your cygwin patch looks good. It's one of those quirky hacks that always comes with a larger code base :)09:35
stekernmmm, yeah.. it's .qsf, but I think those lines are actually inserted when you open the .qpf09:35
olofkaha09:36
olofkWhich is equally fucked up09:36
stekernI've got:set_global_assignment -name SEARCH_PATH "c:\\altera_trn\\sockit\\sockit_hw_lab_13.0\\soc_system\\synthesis\\submodules\\sequencer"09:36
stekernin mine that I used on the win machine I used for the workshop09:37
olofkI have set_global_assignment -name SEARCH_PATH /home/olof/altera_trn/SoCkit_HW_lab_13.0/soc_system/synthesis/submodules/sequencer09:37
olofkAnother thing... I've seen you talking about cgen patches. Is this on the binutils ml? I unsubscribed there so I'm wondering if you could CC the OpenRISC ml as well09:38
stekernI've bet quartus has quirky hacks for this stuff too, and one of them is missing for SEARCH_PATH09:39
olofkOr perhaps do like the linux port and have it's own ml09:39
olofkBut I guess we don't expect much traffic so a separate ml might be a bit overkill09:40
stekernmmm, it's more of a Linux cultural norm to have sub-mailinglists too09:41
stekernbinutils isn't very high traffic, so IMO people that are interested can be signed up directly there09:42
stekernand the cgen patches is on the cgen list, that's as low traffic as one can get ;)09:43
stekernI've probably increased the traffic by 200% for the whole year just today and yesterday09:44
stekernhttps://sourceware.org/ml/cgen/2014-q2/09:44
raholofk: heh09:47
olofkstekern: And that's high traffic compared to this sad experiment http://lists.opencores.org/pipermail/wishbone/10:17
stekernthere was a wishbone question on the forums recently, perhaps I should have directed him there instead ;)10:20
olofkI usually do that, but it's a little awkward because it generally means that I'm just answering him again10:24
inigomHey there! I'm new using OpenRisc and all the tools. I'm folowing the PinkSoc tutorial (http://wiki.pinksoc.org/doku.php/openrisc_tutorial). This tutorial now uses fusesoc. But the point10:31
inigomis that I would like to know how te fusesoc's command line works10:32
inigomany documentation?10:32
inigomor suggestion?10:32
inigommany thanks!10:32
blueCmdstekern: all of them10:44
blueCmdmore specifically the SMP and being impatient10:44
rahhttp://blog.elphel.com/2013/10/fpga-is-for-freedom/10:59
olofkinigom: Hi. I haven't gotten around to write any real documentation for FuseSoC yet14:42
olofkThere are a few tutorials around, and you can get some info from fusesoc --help14:42
olofkAnything in particular you're wondering about?14:42
inigomHi Franck , which command should we give to run the or1200 system with fusesoc - I'm kind of lost15:12
_franck__if you want to run a simulation, just run fusesoc sim or1200-generic --vcd --force --elf-load=/path/to/your/elf15:14
_franck__--vcd is for waveform generation15:15
_franck__--force tells fusesoc to prepare the build directory again15:15
inigomERROR: 'or1200-generic' or any of its dependencies requires 'mmuart', but this core was not found15:17
_franck__did you download orpsoc-cores ?15:19
inigomfusesoc sim or1200-generic --vcd --force --elf-load= ../tests/icarus-test/hello15:20
inigomusage: fusesoc sim or1200-generic [-h] [--testcase TESTCASE]15:20
inigom                                  [--elf-load ELF_LOAD] [--vcd]15:20
inigom                                  [--timeout TIMEOUT]15:20
inigom                                  [--transactions TRANSACTIONS]15:20
inigom                                  [--jtag-vpi-enable]15:20
_franck__you should install fusesoc, then download orpsoc-cores. after that, create a working directory directory, put fusesoc.conf in there15:20
inigomfusesoc sim or1200-generic: error: unrecognized arguments: --force ../tests/icarus-test/hello15:20
inigomthe above is what we are getting15:21
_franck__you forgot --elf-load before your elf15:21
inigomthe previous one was wrong directory15:21
inigomwe think we have the latest and greates fusesoc and oprsoc-cores15:21
_franck__is your fusesoc.conf correct ?15:22
_franck__try to use absolute path for your elf files15:23
inigomwe just did - same result . How come --force is unrecognized?15:25
inigom.conf file seems correct15:25
inigomand simply typing fusesoc sim wb_sdram_ctrl works fine15:26
_franck__does fusesoc sim wb_sdram_ctrl --force works ?15:28
_franck__can you paste here the _exact_ command line you are using to sim or1200-generic ?15:31
inigominigom@pinksoc2:~/Desktop/pinksoc/build-fusesoc$ fusesoc sim wb_sdram_ctrl --force15:35
inigomusage: fusesoc sim wb_sdram_ctrl [-h] [--vcd] [--timeout TIMEOUT]15:35
inigom                                 [--transactions TRANSACTIONS]15:35
inigomfusesoc sim wb_sdram_ctrl: error: unrecognized arguments: --force15:35
_franck__wait, I'll checkout the last fusesoc, to see if something is broken15:37
_franck__may be this: https://github.com/olofk/fusesoc/commit/e8b3d2e3b352816302ca3da1fafb6557d4af0e7315:37
_franck__yeah, it's broken :(15:38
_franck__well, try not to use --force15:39
_franck__--force must be just after "sim"15:41
_franck__sim args must be after sim and plusargs (the one that cores provides) are after the simulated core name15:43
_franck__fusesoc sim --help gives you sim args15:44
_franck__so it's not broken :)15:45
inigomfusesoc sim or1200-generic --vcd --force --elf-load= ../tests/icarus-test/hello15:45
_franck__no, fusesoc sim --force or1200-generic --vcd --elf-load=../tests/icarus-test/hello15:46
inigomsorry my fault15:46
_franck__I recon, that's not easy15:46
inigomi have paste the wrong command15:47
inigomfusesoc sim --force wb_sdram_ctrl15:47
inigomthis works :D15:47
_franck__gtg, I'll be back later15:48
ysionneaujuliusb : awesome that you come to EHSM to talk about OpenRISC/mor1kx :)19:11
olofkysionneau juliusb : Cool. You're going to EHSM? I want to go too, but don't have time :(19:48
olofkI saw the fusesoc cmd line confusion. It's like this: fusesoc <global args> <command> <command args> <system/core> <extra args>19:51
_franck_my NEEK fusesoc port is finally ready19:57
ysionneauyep going as well, doing a talk on the NetBSD port on lm32 :)20:16
blueCmdstekern: I'm thinking of buying an Atlys board to have it as a buildbot / shell server20:16
ysionneauthe list of talk seems really impressive20:16
ysionneaulike last year20:16
blueCmdstekern: how many cores do you recon I could have on it?20:17
stekernhmmm... I'll probably be more able to answer that when I have tried20:20
JakeUSCbluecmd: How did you make the hex file for your u-boot?20:56
blueCmdJakeUSC: I have a script .. somewhere20:59
blueCmdbin2binsize (it's in the old OpenRISC svn repository somewhere) on the u-boot.bin file, then bin2hex.py on that21:00
blueCmdbin2hex.py is some generic python lib21:00
JakeUSCthanks, I was using bin2binsize and then bin2hex from the old orpsoc21:02
olofk_franck_: Is it just the mem controller in pull request #65?21:14
_franck_olofk: no, that's the board port. However, github doesn't like big commit diff21:24
_franck_I think I'll just push it21:25
blueCmdstekern: if you would have to guess22:05
--- Log closed Fri May 23 00:00:00 2014

Generated by irclog2html.py 2.15.2 by Marius Gedminas - find it at mg.pov.lt!