IRC logs for #openrisc Thursday, 2014-03-27

--- Log opened Thu Mar 27 00:00:38 2014
analognoiseHey all, so I got fusesoc installed, and I'm looking at it vs the Lattice Micro32 that was modified for the Milkymist. Any thoughts?00:32
analognoiseWell, I guess I should say the OR1200 or MOR1kx rather than fusesoc directly00:33
-!- Netsplit *.net <-> *.split quits: bentley`, ams, knz, mboehnert, O01eg01:13
-!- Netsplit over, joins: bentley`, O01eg, ams, knz01:49
stekernjungma: we've got X running on FPGA as well: http://www.flickr.com/photos/92201104@N06/10004611274/05:50
jungmastekern: awesome :)07:48
olofkstekern: Regarding your question about making fusesoc aware of things in orpsoc-defines, I have had a plan for this all along, but never had time to implement it08:40
olofkBasically, I want something like gentoo's use flags to control this08:41
olofkI think that will work pretty well08:42
olofkWeren't there some logo proposals also that looked like the open hardware keyhole?08:44
stekernolofk: yes, but that was ditched because OSI doesn't like other projects using that10:17
olofkstekern: Ah.. right. Forgot about that11:43
stekernthe daily catch 22, I need the debug wishbone port to debug the broken debug wishbone port11:55
kyrre_Hi, has anyone here used eclipse to remote debug an .or32 on or1ksim, and might point me to a tutorial/userguide?12:22
kyrre_Hi, has anyone here used eclipse to remote debug an .or32 on or1ksim, and might point me to a tutorial/userguide?13:04
stekernkyrre_: I think jeremy_bennett did at some point in the distant path, but afaict it shouldn't be any different from any other target with a similar setup (openocd/gdb)13:10
stekerns/path/past13:10
kyrre_ok, thanks, im trying the standard way, but having some problems setting it up, and making it acknowledge my or1k toolchain13:11
jeremy_bennettstekern: kyrre_: It is true, but it was a long time ago. I fiddled around putting the tool chain into standard CDT13:14
kyrre_i think i stumbled in the right direction now :)  it helped downgrading eclipse to indigo :p13:22
wkoszek_Are there any superscalar processors that are open source ?17:26
wkoszek_Or at least dual-issue?17:26
wkoszek_I beleive OpenRISC must be, but I mean something less complex.17:27
wkoszek_I mean OpenSPARC17:29
analognoiseor1ksim is failing make check. I'm using some outdated instructions I think. Has anyone else run into this?18:10
analognoiseOr should I be using fusesoc sim18:10
stekernfusesoc sim is rtl simulation18:11
analognoiseAh yeah good point18:11
analognoiseNot instruction level for the processor. My bad.18:11
analognoiseIt didn't pass "make check", but I was using the instructions from 2012 for use with the Atlys board, perhaps there's some difference?18:15
analognoisels18:15
analognoise...Yeah wrong window for that ls command.18:15
analognoiseSo I must have done something ridiculous - erased the previous attempt after a make distclean, checked it out from git, configure and make - now it looks like it's working.18:24
analognoiseFucking awesome.18:25
stekerngreat18:25
analognoiseYeah sorry about the stupid questions. Thanks for the patience.18:26
stekernno problems at all ;)18:31
stekernwkoszek_: I'm not sure, but I have some loose plans on doing a dual issue openrisc implentation some day18:50
wkoszek_stekern: Did we get an answer from Carol ?19:33
stekernCarol?19:42
wkoszek_GSOC admin19:43
analognoiseOk so20:51
analognoiseOr1ksim is installed20:51
analognoiseHow should I really go about using Fusesoc?20:52
analognoiseI don't have any experience with the previous project, Orpsoc. Should I start with its documentation?20:52
analognoiseAh sheesh. Yes.21:00
analognoisefusesoc --help21:00
analognoise:)21:00
stekernanalognoise: do you have some particular board you want to target?21:02
stekernor do you just want to run a simulation to start with?21:03
analognoiseJust simulation for now, although I've got a spartan-3E starter board kicking around I could target.21:06
stekernso, there's a small sim only system called or1200-generic21:07
stekernwith support for both simulation with icarus and verilator21:08
stekernfusesoc sim --force --sim=icarus or1200-generic --elf-load /path/to/elf21:09
stekernor21:09
stekernfusesoc sim --force --sim=verilator or1200-generic --elf-load /path/to/elf21:09
analognoiseOk I'll get that working before I ask any more stupid questions21:31
analognoiseI just have to keep fiddling with it21:31
analognoiseThanks :)21:31
blueCmdstekern: a lot of fotball and beer in that album you linked to22:45
blueCmdand here I was thinking you only did openrisc stuff22:45
blueCmd:)23:15
--- Log closed Fri Mar 28 00:00:40 2014

Generated by irclog2html.py 2.15.2 by Marius Gedminas - find it at mg.pov.lt!