IRC logs for #openrisc Wednesday, 2013-09-25

--- Log opened Wed Sep 25 00:00:11 2013
stekernpoke53281: I should pick up that ball again02:22
stekernbut, in the end, the kernel changes became a lot larger than the initial version.02:23
poke53281Larger than the ones you have presented on the mailing list?02:25
stekernI ended up reworking the pte layout02:25
poke53281Yes, I know02:26
stekernI have pushed the WIP to a pte-rework-wip branch on my linux repo at openrisc.net02:29
stekernthere are a couple of preparing patches that I sent to ML that haven't been merged nor commented on02:31
poke53281I know02:31
poke53281searched for replies but could not find any02:32
poke53281By the way. Would be nice to have the official linux repository on github. Today I realized again that the cloning takes 3-4 hours.02:35
stekernthe official linux repository is kernel.org02:35
poke53281not official. Our dev repository.02:36
poke53281basically the jonas/linux repository.02:36
poke53281Would be nice to have everything in one place.02:39
stekernah, well. that's up to jonas, but there's some ties into the mainline kernel from that repo (I think next fetches automatically from one of the branches)02:40
stekernbesides, how often do you need to clone?02:40
poke53281Everytime I want to start from scratch to test if my toolchain scripts are working properly.02:41
stekernok, fair enough, that's a good reason to redo the clone ;)02:42
stekernperhaps the only good reason02:42
poke53281And because of some bad habit I tend to switch between three computers. So I had to clone three times during the last couple of months.02:43
stekernbut why is that so slow for you? IIRC when I fetch from openrisc.net it maxes out my internet connection02:44
poke53281Don't know. I am here at a university. So the connection should be fast.02:45
stekernI'm of course geographically closer02:45
poke53281Applying the deltas takes also one hour or so.02:45
stekernyeah, well, cloning the kernel from *any* repo takes time02:46
poke53281I am still not familiar how this is actually done for the kernel. Especially when do they delete the commit history. At the moment I have 400247 commits. This is crazy for my small little computer. Do they start from Linux 0.01 and then applying patches?02:53
poke53281There should be a way to tell the system: Hey, this commit should be my new basis and please delete the commit history before.02:54
stekernthey don't delete the history03:12
stekernbut it doesn't start from the beginning of time, only from when they switched over to git I think03:12
stekernthere are repos which have reproduced the entire history though03:13
stekernif we are going to complain about git, let's complain about the cherry-pick command03:17
stekernwhy do you have to specify the commit *before* the commit you want to cherry-pick when you do ranges?03:17
stekernit doesn't make sense03:18
hansfbaierstekern: FedEx = company from hell05:13
hansfbaierThe SocKit arrived05:13
hansfbaieradditional to the $29 they now want another $100 for customs and their "customs clearance service".05:13
hansfbaierThey even didn't want to give me the original Customs receipts. Only after I threatened them to report them to the Indonesian Anti-Corruption Authority05:14
hansfbaierWhich I will do anyway.05:14
stekernfedex usually asks if you want to handle that yourself05:14
stekernups don't05:14
hansfbaierstekern: no they didn't05:14
hansfbaierthey said my $250 order was to small05:14
hansfbaierstekern: Also the customs fee was miscalculated05:15
hansfbaiertwo errors05:15
stekernyeah, I said "usually"05:15
hansfbaierstekern: never experienced something like this with China Post (free shipping/$2/$5), Hong Kong Post ($7), Singapore Post, Deutsche Post or USPS>05:16
hansfbaierArrow just said I can't. They were utterly unhelpful.05:16
hansfbaierstekern: But never mind. I'll kick ass. This starts getting fun....05:16
stekernhansfbaier: http://juliusbaxter.net/openrisc-irc/%23openrisc.2013-09-24.log.html#t12:2205:17
hansfbaier?05:17
stekernnothing related to this customs discussion ;)05:17
hansfbaierHey cool an ASIC!05:18
stekernah... but there was several lines on 12:22, so it took the first one05:18
stekernI was thinking about jonibo's comment about LANGUAGE05:19
hansfbaierstekern: http://pastebin.com/bj6PNbrE05:20
hansfbaierstekern: I finally managed to tx packages. They never seem to arrive/return, but the enc28j60 tended to lose the TX interrupts.05:20
hansfbaierAn when I made the Interrupts a bit wider, the tx seems to see some of them now.05:22
hansfbaierstekern: Just wanted to report. Don't worry much about it.05:22
hansfbaierstekern: I'll probably replace the enc28j60 with an W510005:22
hansfbaierstekern: and use the enc on arduino05:22
hansfbaierstekern: ah thanks that link was very helpful05:23
hansfbaierstekern: I have a testbench too for the thingy05:24
stekernnice05:24
stekerngeneral verilog hint, use verilog2001 module port declarations05:27
hansfbaierstekern: Ah yes.05:30
hansfbaierstekern: I used it because Emacs' verilog mode did it automagically05:30
hansfbaierstekern: Is that coding guideline for openrisc? I would have done it if I did everything manually.05:31
hansfbaierstekern: maybe while development then convert to 2001 if it's done.05:31
hansfbaierhttp://www.aliexpress.com/wholesale?SearchText=allwinner+a10&catId=0&initiative_id=SB_2013092421300705:31
hansfbaier^^ Hey cool05:31
hansfbaierdoes that mean all those cute tablets use OpenRisc?05:32
hansfbaierI ought to get one of those :)05:32
hansfbaierNo wait here they say it's ARM. Hmmmm.05:33
hansfbaierhttp://www.aliexpress.com/item/cubieboard-1GB-ARM-Cortex-A8-Allwinner-A10-luxury-package-including-accessory-free-shipping/731449083.html05:33
stekernhansfbaier: with verilog2001 declarations emacs doesn't have to do anything automatically, since there are no redundancy05:33
hansfbaierstekern: I mean that's what the verilog mode does if using AUTOARGS05:34
stekernno, the allwinner a10 doesn't have an or1200 onboard, only a3105:34
hansfbaierstekern: Ah I see05:34
hansfbaierstekern: I see05:34
stekernyes, but AUTOARGS is completely redundant, if you use verilog2001 declarations05:35
stekernget me right, I love the AUTO-features, but if you can do without them I prefer that05:35
stekernhansfbaier: I ahve one of these: http://www.onda-tablet.com/onda-v971s-quad-core-android-4-2-tablet-pc-dual-camera-wifi-hdmi-16gb.html05:36
stekernthat has an or1200 onboard05:37
stekernjust to make clear, the or1200 isn't running android on them, there is a quad core cortex a7 for that ;)05:39
powermaniacDare I say I'm back to take another stab at this06:31
stekernwb powermaniac06:33
powermaniacOkay so where was I before I think it was step two from here under # Build gcc: http://opencores.org/or1k/OpenRISC_GNU_tool_chain#Newlib_toolchain_.28or1k-elf.2906:43
powermaniacWhich was giving me an error06:43
powermaniacExpecting ams to come and tell me I need to read all of it to work out the problem. Problem with that is reading something you don't understand doesn't generally help much...06:43
powermaniacWant me to dig up the errors again?06:46
powermaniacIf it's not too much of a hassle that is06:48
stekernsure06:48
powermaniacStekern: Here is the total paste of the # Build gcc up till the error: http://encryptico.net/?342cb323e9c3a843#frOOBQR34URC600CZobLLbMDPsuXs5XqxaXTf/JrnCI=06:54
powermaniacStekern: Want me to also paste the compile.log?06:55
hansfbaierpowermaniac: I have given up on it again. Not that I could If I really wanted. But since or32- does everything I need at the moment (except building C apps for bare metal), I really can't affort to put the time into it.07:00
powermaniachansfbaier: What is or32?07:01
stekernpowermaniac: it's the old toolchain07:01
stekernhansfbaier: you can build C apps for bare metal with that too07:02
powermaniacOh okay, is that simpler to setup or something?07:02
stekernpowermaniac: but the reason you started with the toolchain build was that orpsoc depends on the or1k-elf- toolchain07:03
powermaniacAhh okay fair enough07:03
hansfbaierpowermaniac: the or32-toolchain.  I used this guide: http://kevinmehall.net/openrisc/guide/07:03
hansfbaierpowermaniac: worked for me like a charm07:03
hansfbaierstekern: But I need newlib, don't I?07:03
hansfbaierstekern: Otherwise the linker would complain about missing startup code etc. etc.07:04
hansfbaierstekern: I didn't put a lot of time and effort in it since my main goal in using openrisc is learning about linux and linux drivers inside out.07:06
hansfbaierstekern: When I get the SocKit and some time I'd like to write a char and network driver which communicates between ARM/openrisc07:06
hansfbaierstekern: Something like that would be fun.07:07
stekernhansfbaier: ah, you mean the or32-linux toolchain07:07
hansfbaierMy main purpose for now is to learn about the linux kernel. Writing drivers etc. etc.07:07
hansfbaierstekern: yes, or32-linux07:07
stekernpowermaniac: yes, post the config.log again07:07
stekernhansfbaier: yeah, openrisc is good for that, there's a lot to be done and since the hardware is open source it's a lot easier to get the whole picture07:09
stekernI have the same motivation07:09
hansfbaierstekern: Another nice thing would be to identify any small issue in that same direction07:09
hansfbaierstekern: where I could contribute07:09
hansfbaierstekern: but easy enough for me to get started07:10
hansfbaierstekern: ATM I am playing with the scull/snull drivers from ldd307:10
hansfbaierstekern: maybe implement the hardware bitops to make ext2/3 run ;)07:10
stekernthat's the right approach for any open source project, start playing with it in a corner area and soon you'll notice how broken everything is and you're hooked07:11
hansfbaierstekern: If it's too broken I might get discouraged though07:11
stekernpeople that come and ask "I want to contribute, what can I do" is usually not fit as contributors07:12
hansfbaierstekern: I put a couple of man months into ardour, but that's essentially more or less a one man show around07:12
hansfbaierthe founder who tries to make a living of it07:12
hansfbaierso semi commercial07:12
powermaniacStekern: Okay here is the config.log: http://encryptico.net/?279f03231944d076#sWP+Ii6WSwDQnRgFhuAkd+OlEob0zl9r8CeAhBelK5E=07:12
hansfbaiernever experienced a community as awesome as here @ openrisc07:12
hansfbaiergreat community spirit here07:13
hansfbaierstekern: Yes, I'll be more self reliant. Will try.07:14
stekernhansfbaier: (discouraged) yeah, hopefully our stuff is not *that* broken (and I believe it isn't)07:14
hansfbaierstekern: still need some ramp up time.07:14
stekernhansfbaier: I wasn't implying you were one of them asking for TODO lists ;)07:15
powermaniachansfbaier: How did you learn to well work with this? As I'm reading Digital Design 5th edition by Morris Mano but ahven't gotten to the parts yet where I will understand enough to help contribute.07:15
hansfbaierpowermaniac: Well I followed Kevin's guide07:16
powermaniachansfbaier: But do you ahve any past programming experience or anything as well that helps you make sense of everything?07:16
hansfbaierpowermaniac: And I got a lot of handholding from stekern and _franck_ to make it run on my little EP4CE10 board07:16
hansfbaierpowermaniac: Yes, I am into Linux since 1995 and work as a software developer since 200507:17
powermaniachansbaier: Ahh okay that makes more sense07:17
powermaniacI've sort of jumped in the deep end07:17
amspowermaniac: www.update.uu.se/~ams/adm/openrisc/or1k-elf/build.sh07:17
powermaniacMy initial goal was to make a computer as open source as possible but still usable as a computer07:17
amsworks for me07:17
hansfbaierpowermaniac: I also wrote a bunch of books about Linux :)07:18
hansfbaierhttp://www.amazon.de/s/ref=nb_sb_noss?__mk_de_DE=%C3%85M%C3%85%C5%BD%C3%95%C3%91&url=search-alias%3Daps&field-keywords=hans%20baier%20gabriel%20lobstein07:18
_franck_hansfbaier: awesome07:19
hansfbaierBut the books are sysadmin07:19
powermaniachansfbaier: You've written books O_O07:20
hansfbaier_franck_: the fun part is they are published by redmonds which is ex-microsoft press in Austria07:20
_franck_I'm waiting for a guide for openrisc beginners07:20
hansfbaierhaha07:20
ams_franck_: what would it be about?07:20
_franck_don't know ;)07:20
amshaha07:20
stekernhow to find the scroll in Tibet07:21
hansfbaier_franck_: the kevin mehall guide is awesome07:21
hansfbaier_franck_: need something like that for the new toolchain.07:22
hansfbaierbut not now. Have paid work to do07:22
_franck_yes it is good. The most dificult thing is to get things up to date07:22
hansfbaier_franck_: Yes, that's a drag, I can tell. Writing new stuff is fun, but maintaining old stuff sucks07:22
amsi fail to see why you guys make the tool chain setup so complicated ...07:23
hansfbaier_franck_: kevins guide still works though07:23
stekernI think the or1k- toolchain recipe is fine, not my fault that your setups are broken ;)07:23
amsstekern: could be simplified07:24
amsbut yeah, it is the typical version people do ..07:24
hansfbaierstekern: I use Ubuntu 12.10. But quite a 'dirty' system with a ton of stuff on it07:26
hansfbaiersorry 12.0407:26
hansfbaiernot 12.1007:26
stekernI've built it on 12.0407:27
hansfbaierstekern: the weird thing is when I configure with no libgui and then make it seems to do a lot of configuring again07:29
hansfbaierneed to look into it07:30
stekernyeah, it always reconfigure the subdirs07:30
amshansfbaier: are you building or1k-elf?07:30
amshansfbaier: (and as for configures behaviour there, that is correct behaviour)07:30
stekernpowermaniac: that's not the same config.log as you pasted yesterday, is it?07:31
powermaniacErr I think so?07:31
powermaniacI'm redoing everything anyway07:32
powermaniacRe-cloning the github repo etc. and going through it all again07:32
powermaniacTo make sure everything is definitely working up to # Build gcc07:32
amspowermaniac: are you compiling or1k-elr?07:32
olofkI already miss my static IP :(07:34
stekernpowermaniac: did you try deleting bld-or1k-gcc before running configure?07:34
stekernolofk: static IP is the best, I never seem to remember mine though07:34
powermaniacstekern: No actually I hadn't, it's all gone now though07:35
stekernok, let's see how it goes now then07:35
powermaniacams: Yeah I'm compiling or1k-elf07:35
amspowermaniac: you have to delete it, and bld-or1k-src07:35
amspowermaniac: www.update.uu.se/~ams/adm/openrisc/or1k-elf/build.sh07:35
amstry that07:35
powermaniacWhat just copy paste it or?07:36
powermaniacI'm assuming not07:36
powermaniacAnd I need to change a couple things specific to my machine07:36
amsno, plop it in a script07:36
amsand run it in a shell where you log output07:37
amslike what?07:37
amsnothing in that script is specific to anything other than one variable07:37
powermaniacams: Change the locations of or1k-src/configure ?07:37
powermaniacams: And change the location of d=$HOME/adm/openrisc/or1k-elf-inst ?07:38
amswhy?07:39
ams09:37 <ams> nothing in that script is specific to anything other than one variable07:39
powermaniacOh okay07:39
amsand put the source for or1k-src in the same directory as the script07:39
amsand or1k-gcc07:39
powermaniacThought I would need to change adm to powermaniac as that is my admin account07:40
amschange it to /tmp/foo07:40
amsthere is exactly one thing you need to change: d07:41
hansfbaierams: OK running the script07:41
* hansfbaier knocks on wood07:41
hansfbaierams: One thing might have been that the Makefiles are note suitable for parallel builds07:41
amsit is exactly the same silly instructions as on the wiki07:41
hansfbaierI usually did make -j1207:42
amshansfbaier: they are, if you know what you are doing.07:42
hansfbaierams: sometimes there was an error but just typing make again made it go round07:42
hansfbaierweird07:42
hansfbaieruntil I ran into the libgui issue07:42
hansfbaierams: I used this: http://pastebin.com/FcZY7EWj07:44
hansfbaierams: or32-... build and installs fine but the first or1k- doesn't07:46
hansfbaierlet's see how things go now.07:46
amsyou never clean out the build dir07:46
amsyou MUST clean out the build directory07:46
hansfbaierams but that's not in the wiki then? I just copied the instructions from there?07:49
hansfbaierams: forgot the URL now....07:49
hansfbaierams: let me search.....07:49
hansfbaierit's in the community portal somewhere07:49
hansfbaier??07:50
hansfbaierah got it in my browser history07:50
amsupdate it :-)07:51
hansfbaierams: mom07:53
hansfbaierams: done07:56
hansfbaierams: Wasn't aware it's really a wiki ;)07:56
powermaniacWell that is weird my wifi adapter just turned itself off07:57
hansfbaierNice new FPGA-project idea: OpenPRISM. PRISM for everyone.07:58
powermaniacOpenPRISM like Prism-break.org?08:00
hansfbaierpowermaniac: thanks for the link08:01
hansfbaierNo I think of something like I nice GBit -Ethernet interception filter08:01
hansfbaierNice project for SocKit ;)08:01
powermaniacAhh okay08:01
hansfbaiers/I nice/a nice/08:01
hansfbaierpowermaniac: Open FPGA encryption entropy crackers har har har08:02
hansfbaierlet's join the party08:02
hansfbaierand spy out the goverments08:02
hansfbaierIn this post democratic time where governments convert into traitors of their people08:03
hansfbaierthat sustain them08:03
powermaniacSounds like an interesting idea, although I'm not sure if your serious08:04
powermaniacReally all you need to do is somehow get control of Google then all the information in the world basically08:04
powermaniacis yours*08:05
hansfbaierams:  http://pastebin.com/MFTpkKQc08:14
hansfbaierstill fails at the same place08:14
hansfbaiersuper weird08:14
hansfbaiercompiler versions???08:14
hansfbaierautomake versions?08:14
hansfbaierpowermaniac: Not that serious though, You need to put the hardware somewhere08:15
powermaniachansfbaier: And pay for it...08:15
hansfbaierpowermaniac: But using a FPGA for real time multi GBit-Encryption is kind of fun08:16
hansfbaierams:  The only thing I changed in your script is d08:17
amshansfbaier: humpty dumpty08:18
stekernhansfbaier: actually I think juliusb had that error too now when I start to think about it...08:18
* ams doesn't think that hansfbaier did run his script.08:19
amsmostly since i had +x ... so make should be + make ....08:19
amsand the fist thing make does for src is build intl ...08:19
hansfbaier$ history | grep alt-build08:19
hansfbaier  506  cat > alt-build-script.sh08:19
hansfbaier  507  gedit alt-build-script.sh08:19
hansfbaier  510  chmod 755 alt-build-script.sh08:19
hansfbaier  511  ./alt-build-script.sh08:19
amshansfbaier: can you pipe everything into a file?08:20
amssh build.sh > log 2>&1 &08:20
amstail -f log08:20
amsand dump the log when youa re done08:20
amssorry, i am paranoid ... pebkac has wasted many of my hours :-)08:20
hansfbaierams: ok running once more, cleaned out $d beforehand08:21
hansfbaierams: who is pebkac?08:22
amsproblem exists between keyboard and computer08:22
amss/computer/console/ if you are old like me.08:22
powermaniacQuick question (hopefully): How would I run that script of ams's anyway?08:38
powermaniacAs I've no real experience with coding either...=\08:38
powermaniacEspecially on Linux08:38
stekernwget http://www.update.uu.se/~ams/adm/openrisc/or1k-elf/build.sh && chmod +x build.sh && ./build.sh08:40
powermaniacOh okay thanks stekern08:40
jonibosterken: alright, good... those mails are a bit annoying... should really let fengguang know not to test master branch because we do keep a bit of cruft there08:41
joniboit might be good, if nothing else, just to put an CONFIG_OPENRISC dependency on the driver until you're ready to upstream it08:42
jonibo...that'll prevent the build warnings against x86... but a lot of the warnings are cosmetic, anyway, so it's not strictly necessary08:42
joniboanyway, all good... fenguang's sent out about 10 emails to various people RE: patches that don't apply cleanly, even though it's not stuff we're really considering for upstream at all08:43
stekernjonibo: I personally don't mind the mails, they might point out something useful08:45
jonibook... we'll let them keep coming then! :)08:46
jonibopoke53281: git history is _never_ deleted from kernel... and, yes, you need a reasonably powerful machine to work with the kernel as it's a big project... less than 6GB really doesn't cut it if you want to use "git grep" and friends... but that's a concious decision that's been made by that community because "storage and memory are cheap"08:47
hansfbaierams: https://dl.dropboxusercontent.com/u/3377727/log08:48
jonibopoke53281: as for the slow checkout from openrisc.net... don't know... it's on a 1Gb/s uplink so it should max out pretty much whatever connection you're on... unless all the (chinese manufacturer I can't name) developers happen to all be pulling at the same time, which might happen! :)08:48
jonibopoke53281: but if you want to try a different route, pull the regular upstream kernel from kernel.org and then add the openrisc.net repo as a remote afterwards and fetch just the difference... might be faster for you08:49
joniboand, no, I don't want the kernel on github, for a variety of reasons...08:49
jonibofor clarity:08:51
jonibogit clone <upstream kernel from kernel.org>08:51
jonibogit remote add openrisc <openrisc git URL>08:51
jonibogit fetch openrisc08:51
jonibogit checkout openrisc/master08:51
jonibosomething like that08:51
jonibohope that helps08:51
hansfbaierpowermaniac: you might want to change the variable d first (destination dir)08:53
hansfbaierwhere it says d=<directory>08:53
powermaniachansfbaier: Just doing the manual way from the wiki, as the wget didn't work08:53
powermaniacThis time though it appears to be working!08:54
olofkhansbaifer: Hey, I just noticed you said you've been working on Ardour. That's cool. I'm just about to set up a proper Ardour-based studio in my new house08:54
powermaniac1st step worked flawlessly, onto the second step waiting for the result08:54
hansfbaierpowermaniac: Yes I updated the wiki to reflect what the script does08:54
hansfbaierolofk: Oh nice08:54
hansfbaierI wrote the MIDI clock slave08:54
hansfbaierAnd the MIDI channel selectors08:54
hansfbaierand some other stuff08:55
hansfbaierolofk: I am currently inactive, since I can't use ardour due to unsuitable rooms for recording (too small)08:55
powermaniacWhat exactly is Ardour?08:55
hansfbaierolofk: So I use my spare time to practise my horn08:55
hansfbaierpowermaniac: www.ardour.org08:55
powermaniacOhhh08:56
olofkhansbaifer: I've been having that problems for the last seven years, but _finally_ I can bring home my amplifiers and stuff08:56
hansfbaierolofk: I contributed to calf as well (filterclavier, draggable filter graphs)08:56
powermaniacolofk: What because you moved?08:56
olofkpowermaniac: Yes08:56
powermaniachansbaier: Looks like a more complicated Audacity although I assume it is far more then what Audacity is08:57
olofkpowermaniac: You have contributed some good insights in the current shortcomings of orpsocv308:57
powermaniacolofk: I have? Yay I accomplished something!08:57
olofkThe only thing that uses the toolchain in orpsocv3 is the elf to bin converter. I really should try to rip that out and do it as a VPI module instead08:58
powermaniacSo are you guys in the IRC the main team working on ORPSoCv3?08:58
olofkMy idea is to have a small C utility that can be used in a VPI wrapper for Icarus and modelsim, or in a C++ wrapper for verilator08:59
powermaniacSTEP 2 WORKED!08:59
powermaniacYEAHHH08:59
hansfbaierpowermaniac: nice to hear you are making progress08:59
powermaniacolofk: Not sure what exactly all that means but it sounds good. Ha.09:02
olofk_franck_: I probably won't have time to look closely at your programming patch for orpsoc for a few more days. Just so that you know09:03
powermaniacOh no, step 3 do I need to delete bld-or1k-src before doing the code below?09:03
powermaniacStep # build newlib and gdb (without or1ksim in this case)09:04
powermaniacStep 3*09:04
powermaniachttp://opencores.org/or1k/OpenRISC_GNU_tool_chain#Newlib_toolchain_.28or1k-elf.2909:06
powermaniac*fingers crossed* it works anyway and overwrites the folder before if needed...09:07
stekernseems an interest for music creation and openrisc is a common factor...09:11
powermaniacSo after the toolchain is finished what do I do?09:14
powermaniacLOL I asked a Terasic Technical Support employee how she got into her field of work09:17
powermaniacAfter asking other relevant questions09:17
powermaniacShe didn't reply =( haha09:17
powermaniacWell she didn't reply to that particular question anyway09:18
hansfbaierstekern: what do you play?09:18
powermaniacOkay the toolchain is completely finished, so what do I do now?09:19
stekernhansfbaier: computer ;)09:20
stekernhansfbaier: more seriously, I've dabbled with electronic music09:21
stekerneven built a FPGA based synth before I started playing with openrisc09:21
stekernhttp://electro-music.com/forum/topic-43432-0.html09:22
hansfbaierstekern: cool. I play the alto saxophone. That is my most advanced music skill. A bit of piano too.09:22
hansfbaierstekern: c176c3826d7655257d0249bed4d1d5791963778c09:22
hansfbaierstekern: This is where my or1k-src is at09:22
hansfbaierstekern: could this be an issue?09:22
hansfbaierstekern: Here is the build log: https://dl.dropboxusercontent.com/u/3377727/log09:23
hansfbaier(complete stdout and stderr)09:23
stekernI've got my entire collection of creations here: http://oompa.chokladfabriken.org/whizkid/09:23
stekernsome date back as far as '96 and everything is of various quality, in the range from terrible to almost bearable ;)09:24
stekernhansfbaier: that should be fine, the only commit after that is updating a README09:28
hansfbaierstekern: sounds interesting09:29
hansfbaierstekern: I am a more acoustic type of musician. I enjoy the interaction live on stage.09:30
hansfbaierhttps://dl.dropboxusercontent.com/u/3377727/BlueMoonMastered.ogg09:32
hansfbaierpretty old but ok09:33
hansfbaier200709:33
stekernsounds good09:35
hansfbaierI play the sax09:36
hansfbaier(ah mentioned it already)09:36
stekernyup, got that ;)09:36
hansfbaierGotta practice :)09:37
hansfbaiersee you around...09:38
juliusbmust recommend this for anyone wishing to draw waveform diagrams: https://code.google.com/p/wavedrom/09:38
juliusbi'm inspired to document some of the mor1kx interfaces now09:39
olofkjuliusb: Oh my god! Don't write documentation! We don't want anything like that in the OpenRISC project!09:48
powermaniacCan anyone tell me what I do after I've installed the toolchain?09:51
juliusbOh, whoops, yes I forgot that's one of our central tenets09:51
powermaniac?09:51
powermaniacOh, right.09:52
juliusbpowermaniac: world domination!09:52
olofkjuliusb: wavedrom looks nice! I tried to use some other tool for that, but it just rendered in weird formats and was a hassle to use09:54
juliusbit's awesome. I've just been using it in a professional capacity09:54
stekernpowermaniac: you wanted to try out orpsoc simulation simulation09:57
stekern-simulation09:57
powermaniacstekern: Yes I did so what is the next step to get there?09:59
stekerndon't you take notes? ;)10:01
stekernorpsoc sim or1200-generic --or1k-elf-load /path/to/uart-simple.elf10:02
stekernwas the command you tried to run last10:02
powermaniacIt's all on my Windows boot so yeah =\10:02
stekernno, you booted to linux before starting with orpsoc10:02
powermaniacDo I need to be in a certain directory for that?10:03
stekernor perhaps you meant your notes? =)10:03
stekernyou should be in the build-orpsoc directory10:03
stekernor 'build' I obviously told you to name it10:04
powermaniacHmm10:04
powermaniachttp://encryptico.net/10:05
powermaniacWoops wrong link10:05
powermaniachttp://encryptico.net/?6d59b866825dcd90#p3/B6ODMwGksWLFlxl/HnPnXe1YLFVr+ucpzzg98E00=10:05
powermaniacWeird don't think Hexchat has saved back that far to when you mentioned: orpsoc sim or1200-generic --or1k-elf-load /path/to/uart-simple.elf10:07
powermaniacSo yeah new error ^10:07
stekernolofk: ^10:08
powermaniacLOL10:08
olofk:)10:09
olofkpowermaniac: Maybe you don't have or1k-elf-objcopy in your path. Try to run or1k-elf-obcopy and see if it is found10:10
olofkThat should be or1k-elf-objcopy10:11
olofkhmm.. or is it objdump. Can never remember10:11
stekernobjcopy10:11
powermaniacThat gets this result:  http://encryptico.net/?71f280e859fcaef7#REo1RyIt4+yk+SK5KWqmtorkjV/DOXNp5XZyyVPzchM=10:11
powermaniacSo it seems to be working10:12
powermaniacI think...10:12
olofkThen I don't have a clue right now. I think that _franck_ was right when he said that better error reporting should be implemented next in orpsocv310:14
olofkahh.. wait. Try to run orpsoc sim --force or1200-generic --or1k-elf-load /path/to/uart-simple.elf10:15
stekernolofk: (_franck_ right) I think so too...10:15
stekernhttp://pastie.org/8354047 <- what do you think this is?10:15
stekernI have a hunch10:16
powermaniacolofk: Okay it seemed to get further that time this was the result though: http://pastie.org/835405010:17
stekernyup, hunch was right, I had pulled a core with 'url' provider, but got no orpsoc to support 'url' provider10:20
stekern_franck_, olofk: orpsoc/provider/url.py is still missing from Makefile.am too10:21
powermaniacSo I'm assuming I need to add svn to the PATH variable10:22
powermaniacHow would one do that?10:22
stekernumm, are you sure you have svn installed?10:22
powermaniacOkay how do I check that xD10:22
powermaniac?10:22
stekernapt-get install subversion10:22
powermaniacLooks like I didn't have it installed10:24
powermaniacI think it is working...O_o10:24
powermaniac=( compiled failed10:25
powermaniachttp://pastie.org/835406610:25
powermaniacLOLOLOLOL10:26
powermaniacsyntax error "I give up."10:26
olofkHmm.. I should write down all the errors that people are experiencing to add proper exception handling10:28
olofkpowermaniac: Hmm.. can you check what version of Icarus you are using. You should be able to see that if you run iverilog -h10:30
olofkstekern: Did you run through the wb_intercon reg tests after you added the wb_mux error handling stuff?10:31
powermaniacolofk: That didn't list any numbers indicating what version it is10:32
powermaniacI will pastie it for you so you can see10:32
powermaniachttp://pastie.org/835407510:33
stekernolofk: reg tests?10:33
stekernI ran 'orpsoc sim wb_intercon'10:33
powermaniacAny idea if I have the right icarus verilog? I'm on Debian KDE by the way10:39
powermaniacPretty fresh install at that10:39
stekernrun iverilog -v10:43
stekernI've got: Icarus Verilog version 0.9.6  (v0_9_6)10:43
powermaniac(v0_9_5) is the version I'm running10:44
powermaniachttp://pastie.org/835410010:44
powermaniacSo...10:59
stekernI'm waiting in anticipation as much as you11:00
powermaniacOh right11:00
powermaniacStekern: What do you think of Richard Stallman?11:01
stekernheh, that sure came out of the blue ;)11:01
powermaniacOr instead, what do you think of his idea to buy a Leemote Laptop as all the software on it is open source including the bootloader...?11:02
powermaniacWell I don't really know much about the guy, when I first heard about him I thought he was crazy xD11:02
stekernpersonal opinion - I think he's believes are too religious11:02
stekern*his11:02
powermaniacHmm never thought about it like that, need to read more about him to probably see that for myself11:03
powermaniacI'm personally not religious either, well I was but I sort of had an epiphany and decided to drop it like it was hot...xD11:03
stekernhe has done a great deal of good things for free software, I admire him for that, but yeah "crazy" come to my mind too11:03
powermaniacWhat do you think about all this news about the NSA spying on everyone?11:04
stekernI've got a more pragmatic view of free software, I love it because I can tinker with it, but I don't think proprietary software is evil11:05
stekerninferior perhaps11:05
powermaniacWell I don't exactly think it is evil, but I have always had the thought that I have no idea whether it is being used to spy on me. And well all this NSA news has just confirmed a whole lot of conspiracy theorists thoughts on that.11:06
stekern(NSA) it's unpleasent, but I'm not really surprised11:06
olofkI suspect that older Icarus versions default to using older verilog standards as default11:07
powermaniacstekern: I wasn't surprised either just I'm still uncomfortable over it though11:08
powermaniacOkay so I've tried updating icarus and it says it is up to date11:08
powermaniacCompile it from source instead?11:08
olofkpowermaniac: Try to run cd build/or1200-generic/sim-icarus && iverilog -s orpsoc_tb -c icarus.scr11:10
powermaniacolofk: "bash: build/or1200-generic/sim-icarus: No such file or directory"11:11
powermaniacWait I think I can fix that11:11
powermaniacMy bad11:11
powermaniacolofk: That returns this again: http://pastie.org/835414011:13
olofkAhh.. can you give me the output from build/or1200-generic/sim-icarus/icarus.log11:14
olofkAt least I think that there should be a file with that name11:14
olofkCrap. That probably isn't generated yet11:15
olofkhmm...11:15
powermaniacYeah it isn't =\11:15
powermaniacSure you don't want me to just recompile iverilog from source?11:16
stekernI think we want to sort out why it doesn't work with that version of iverilog anyway11:16
powermaniacI've sort of realised how I'm contributing, I'm a beta tester or alpha tester or maybe lower then that ...11:17
powermaniacstekern: Oh okay11:17
stekernI tried running iverilog with -g1995, it error'd out, but not with that error11:19
olofkstekern: Thanks. That was what I wanted to know11:19
olofkCould still be a Icarus bug, so compiling a newer version would be interesting11:19
stekernpowermaniac: you can try with -g2001 if that makes a difference11:19
stekerni.e. 'iverilog -s orpsoc_tb -c icarus.scr11:19
stekerni.e. 'iverilog -g2001 -s orpsoc_tb -c icarus.scr'11:20
powermaniacAhh okay11:20
stekernstupid f*cking paste11:20
olofkstekern: :)11:20
olofkAin't there any verbose switches for iverilog? I give up isn't all that informative11:21
powermaniacSame error adding -g200111:21
powermaniacWoah doing it with -g1995 gives me a load more errors11:22
stekern-v is for verbose11:22
stekerntry that11:22
powermaniacThis is what -g1995 gave me without -v11:23
powermaniachttp://pastie.org/835416011:23
stekernyes, that's expected11:24
powermaniacThis is with -v -g2001: http://pastie.org/835416511:25
stekernhaha, now that's what I call verbose...11:27
powermaniacHahahaaa11:28
olofkThat was the most useless fucking verbose mode I have ever seen11:30
stekerntry changing line 56 and 57 in wb_mux.v to this:11:30
stekern    parameter MATCH_ADDR = 0,11:30
stekern    parameter MATCH_MASK = 0)11:30
powermaniacI think you just fixed it11:33
powermaniacO_O11:33
powermaniachttp://pastie.org/835417811:34
powermaniacThat was the output11:34
powermaniacNot sure what exactly was meant to happen but no errors seemed to appear11:34
stekerngo back and try running the orpsoc sim or1200....11:34
powermaniac-__-11:36
powermaniachttp://pastie.org/835418411:37
olofkHmm. I can't find a Changelog for Icarus. That's annoying11:37
olofkpowermaniac: Is that really the path to your uart-simple.elf?11:38
stekernyou are suppose to exchange /path/to with the path to uart-simple.elf11:38
powermaniacOh shit11:39
powermaniacI forgot to fix that11:39
powermaniacWhere is it normally located again?11:39
powermaniacfound it11:41
stekernI'd guess in your download folder11:41
powermaniachttp://pastie.org/835419611:42
stekernif you run with --force?11:46
powermaniachttp://pastie.org/835421411:49
powermaniacWait let me fix something11:49
powermaniacWas saving copies and edited that line again for a second11:49
powermaniacWait no same response anyway11:50
stekernif you do the change in orpsoc-cores/cores/wb_intercon/wb_mux.v it will stick11:51
powermaniacOdd11:52
powermaniac orpsoc sim or1200-generic --or1k-elf-load --force /home/powermaniac/Downloads/uart-simple.elf11:53
powermaniacThat keeps changing line 56 back11:53
powermaniacTo: parameter [num_slaves*aw-1:0] MATCH_ADDR = 0,11:53
powermaniacAnd: parameter [num_slaves*aw-1:0] MATCH_MASK = 0)11:53
stekernnot if you change it where I said11:53
powermaniacAhh I see thought it was the same place I was already changing it, sorry11:54
stekernsee, the build directory is just that, a build directory. the files are copied there and processed11:55
powermaniacSUCCESS!!!!!11:55
powermaniachttp://pastie.org/835422411:55
stekernwoho! \o/11:56
powermaniacYay, celebrate!11:57
powermaniacOkay so, is the simulation limited in what it can do?11:57
powermaniacLike obviously you can't run a simulation of a processor and run a bootloader on top of that and then linux and then programs inside of that right?11:58
stekernpowermaniac: you can, but it's not feasible with icarus (takes to long time)12:00
stekernwith verilator, you can get the processor running at a whopping 0.5MHz12:00
stekerna Linux bootup in that takes ~10min12:01
stekernanyays, you might want to generate a waveform next12:01
stekernrun: orpsoc sim or1200-generic --or1k-elf-load /var/www/openrisc/uart-simple.elf --vcd12:01
powermaniacOh okay so it is possible O_O12:02
stekernah, sorry, replace /var/www/openrisc/ with your download path ;)12:02
powermaniacIf I had say the DE0 Nano would that make it run faster?12:02
stekernand apt-get install gtkwave12:02
stekernyes, you can run it faster in real hardware12:03
stekernI've ran Linux at 75MHz on de0 nano12:03
powermaniacSWEET12:03
powermaniacSo my as open as possible computer wasn't just a crazy idea12:03
powermaniacMUAHAHA12:03
powermaniacorpsoc sim or1200-generic --or1k-elf-load /home/powermaniac/Downloads/uart-simple.elf --vcd gives me the same result as before?12:05
stekernyes, but it also produce a waveform12:05
powermaniacOhh12:05
stekerninstall gtkawve12:05
powermaniacI installed gtkwave12:05
powermaniacWhere does the waveform go?12:05
stekernand then run: gtkwave ./build/or1200-generic/sim-icarus/testlog.vcd12:05
powermaniachttp://pastie.org/835425112:07
olofkGreat! Nice to see that it's working now12:07
powermaniacNot sure if that was supposed to happen12:07
stekernpowermaniac: export DISPLAY=:012:07
powermaniacJust type that in as is?12:09
powermaniacWithout adding anything else?12:09
powermaniacAs that hasn't seemed to have changed anything12:09
stekernare you still running everything as root?12:10
powermaniacYes12:10
stekernstop that ;)12:10
powermaniacOh...12:10
powermaniacOh there we go12:11
powermaniacIt opened GTKWave and made something not sure what12:12
powermaniachttp://pastie.org/835426512:12
stekernon the left side you've got all the modules and signals of orpsoc12:16
stekernbrowse around, add some signals and explore12:16
stekernyou should find or1200 in there somewhere12:16
powermaniacWoah there is a lot under or1200_cpu12:18
powermaniacStill not quite sure what exactly I'm doing though12:18
olofkSo the best guess is that verilog <0.9.6 doesn't support sized parameters12:18
olofkpowermaniac: This is the kind of thing we do. Run a testcase and if something goes wrong we look at all the signals to try to find out what the problem is12:19
powermaniacOh I think I see what it is12:19
powermaniacDigital signals12:19
powermaniacLike a power source outputting power making 1s and 0s appear in a computer12:20
powermaniacStill don't entirely get how that works12:20
powermaniacAs doesn't something have to read the 1s and 0s, how is a transistor outputting 1s and 0s actually amounting to what we end up seeing on our screens12:21
stekernwell, that's what you have in front of you right now, at a slightly higher abstraction12:21
powermaniacSo this is some sort of way to display HDL code?12:22
powermaniacWhat level do you call this exactly?12:22
powermaniacNow you have me even more curious then before12:22
powermaniacAs this seems completely new, even more confusing and thus even more fascinating12:23
stekernno, this is showing the *value* of the signals (registers, logic) when the CPU is running12:23
powermaniacSo isn't this like the lowest level, when running that is?12:24
powermaniacWithout actually physically measuring the singals12:24
powermaniacsignals*12:24
stekernif you put it like that, yes12:24
_franck_it's like if you had an oscilloscope and you could move your probe around all nets12:25
powermaniacInteresting12:28
powermaniacSo is this mainly how you check for problems?12:28
powermaniacIsn't this sort of just making up what the digital signals are seeing as it is a simulation, which doesn't that mean it can only be wrong if the verilog or whatever you sue to get this display wrong?12:29
powermaniacOh also if you wanted to read my little charade asking about whether the Parallella board would be compatible for my as open source as possible computer idea you can read that here: http://forums.parallella.org/viewtopic.php?f=23&t=639&sid=3b38da17ac949d616951034082c4493612:30
powermaniacxD12:30
stekernI laughed at the last post in that thread12:35
stekernthat so summarize the mind of a geek12:35
olofkstekern: Just found this thread on lkml. Looks like they are looking for a unified driver for loading FPGA bitstreams from Linux http://lkml.indiana.edu/hypermail/linux/kernel/1309.2/01610.html12:46
powermaniacWell I accomplished something, or well I felt like I did12:49
powermaniacBeen a busy day besides this12:49
powermaniacSo I'm out for the night, thanks again everyone!12:49
juliusbstekern: yep, I agree, excellent post at the end there13:14
stekernolofk: interesting13:41
stekernthere is also efforts on the fpga loading front in u-boot, not sure how unified they are, haven't investigated those yet13:42
stekernall I now is that it is possible to load the fpga from u-boot13:42
stekernbut I'm actually more interested in loading it from linux13:43
stekernI can reload it from my x86_64 ws now without rebooting the arm (given that I don't touch any shared resources while doing that)13:44
olofk2013. The year of the wishbone interconnects14:50
olofkWhat is the snoop signal in Wishbone? Never seen before14:55
olofkOh well. Time to leave15:03
poke53281jonibo: Thanks for the info. Probably then it's not your or my connection but the pure monumentality of the Linux kernel.17:33
stekernI've got framebuffer somewhat working on sockit now19:25
stekernI need to implement burst support in the wb to avalon bridge for it to be usable though19:26
_franck_stekern: I tried uart_simple.elf with my orpsoc de1 and or1200 and it work fine in simulation. Then I tried to run it with mor1kx and it stalls at some point.19:32
_franck_I'm sure you've tested it but I ask anyway...19:32
stekernuart_simple.elf + mor1kx + orpsocv3 = untested19:36
stekernbut, uart_simple.elf + mor1kx + mor1kx-dev-env = tested19:37
stekernis this a fresh checkout of mor1kx?19:37
_franck_yes19:38
stekernnot that I think the bug I fixed the other day would effect uart_simple.elf19:38
stekernit was related to invalidating icache "in flight"19:38
stekerni.e. when icache is enabled19:38
_franck_I did try to disable caches19:39
stekernit's of course not impossible that you stumbled upon another bug19:40
stekernI could take a look if you want19:40
_franck_do you want a vcd or do the test yourself ?19:40
stekerna vcd is fine, at least to start with19:41
_franck_https://www.dropbox.com/s/je1zhp1q3ng0tk6/de1.vcd19:42
_franck_thanks, you'll be much faster than me to check if it's my fault or mor1kx fault ;)19:43
stekernah, but you might miss a chance to get your name once again into mor1kx ;)19:44
_franck_yeah I know :(19:45
_franck_but I kind of lazy those days19:45
stekernreporting back is good enough ;)19:47
stekernis this the uart_simple.elf I gave powermaniac?19:47
_franck_yes19:47
stekernok, good, then I can disasm that with confidence that everything is in it's right place19:48
stekerninteresting, there's a load from uart registers, but no bus access is being generated19:53
stekernah, this is the same bug you fixed in the fetcher: http://pastie.org/835542320:00
stekernor no... that's not it, but dbus_err is X20:00
stekernoh... now I know... it's related to this: https://github.com/openrisc/orpsoc-cores/commit/8678db5e188d85f17af7151a8f21d2ba7cef718920:03
stekern...maybe20:03
_franck_:)20:04
stekernwbm_addr is X at least20:04
stekernand since wb_mux calculates the match from the address, it seems highly plausible20:04
stekernhmm, it's not related to that change. wbm_err in wb_mux is 0, but wbm_err_o is X20:09
stekernah, of course, when wbm_adr is X, slave_sel becomes X and wb_err_i[slave_sel] becomes X20:12
_franck_IIRC it has the same thing in the fetcher20:13
stekernbut that's only valid during reset20:14
_franck_yes20:14
stekernok, this should fix it: http://pastie.org/835546020:19
_franck_it's not stalled anymore but uart tx doesn't move.20:28
_franck_I'll try again with caches enabled20:29
_franck_tx doesn't move. Anyway, you should push your fix.20:39
_franck_it now loop at address 2808 in uart_simple20:40
stekernthat's in uart_putc, so it's probably waiting for the tx to be free20:42
stekernbut why isn't it?20:42
mor1kx[mor1kx] skristiansson pushed 2 new commits to master: https://github.com/openrisc/mor1kx/compare/5a36725b5dc0...50b11f154a1720:43
mor1kxmor1kx/master be98c2c Stefan Kristiansson: cappuccino/lsu: initialize dbus_err on reset20:43
mor1kxmor1kx/master 50b11f1 Stefan Kristiansson: cappuccino/lsu: set dbus_adr to zero when in IDLE state...20:43
_franck_don't know, need to look at20:44
_franck_it reads address 5 from uart20:45
_franck_you're right it checks for tx empty20:48
_franck_stekern: http://picpaste.com/pics/Capture-4-iBGkwpYX.1380144473.png21:28
_franck_it that behavior on cyc normal ? shouldn't we de-assert it after receive an ack ?21:29
_franck_there is something strange here and the difference with the workng or1200 is the cyc behavior21:30
_franck_bingo, change DBUS_WB_TYPE from B3_REGISTERED_FEEDBACK to CLASSIC make the uart works21:33
_franck_cyc can stay to '1' but I meant stb21:34
juliusbok, ORPSoCv3ers, so I'm a complete noob when it comes to this particular project, right?22:17
juliusbso I thought I'd google orpsocv3 first, to find out where the instructions are22:17
juliusbfirst hit is opencores.org/or1k/ORPSoCv3 which is over a year old22:17
juliusbso.... i'm wondering if we should update that to point to where the latest info22:18
juliusbcan be foudn22:18
--- Log closed Thu Sep 26 00:00:13 2013

Generated by irclog2html.py 2.15.2 by Marius Gedminas - find it at mg.pov.lt!